インテルのニュースルーム

インテル コーポレーション プロセスとパッケージングのイノベーションを加速

毎年進展を続けるイノベーションにより、シリコンからシステムまでリーダーシップを推進

author-image

投稿者:

【ご参考資料】

* 2021年7月26日に米国で発表された資料の抄訳です。

ニュースハイライト
 

  • 2025年にかけて、またそれ以降に投入される次の主軸製品を強化するプロセスとパッケージングのイノベーション・ロードマップを公開
  • 2つの画期的なプロセス技術:10年以上ぶりの刷新となるインテルのトランジスター・アーキテクチャー「RibbonFET」と、業界初のバックサイド電源供給を実現する「PowerVia」
  • Foveros Omniと Foveros Directにより、最先端 3Dパッ ケージングのイノベーションにおける継続的なリーダーシップを実証
  • 新たなノード呼称規則により、半導体オングストローム時代の始まりを告げるとともに、プロセスノードの一貫したフレームワークを確立し、顧客企業と業界全体により確かな見通しを提供
  • インテルのファウンドリー事業「Intel Foundry Services(IFS)」に弾みをつける最初の顧客企業を発表

インテル コーポレーション(本社:米国カリフォルニア州サンタクララ)は、これまで公開してきたプロセスとパッケージング技術の詳細なロードマップ(製品計画)の一部を明らかにするとともに、2025年にかけて、またそれ以降に投入される製品を強化する基盤となる一連のイノベーションを発表しました。今回のロードマップでは、10 年以上ぶりの刷新となるトランジスター・アーキテクチャー 「RibbonFET」と、業界初の最新バックサイド電源供給方式「PowerVia」に加え、非常に精度の高い制御による(High NA)EUV(極端紫外線)での次世代EUVリソグラフィーの迅速な導入計画の発表も行われました。インテルは業界で初めてHigh NA EUVの製造体制を整えることになります。

インテル コーポレーション CEO(最高経営責任者)のパット・ゲルシンガー(Pat Gelsinger)は世界に発信したウェブキャスト「Intel Accelerated(英語)」を通じて、「インテルは、最先端パッケージング技術における確固としたリーダーシップを基盤にイノベーションのロードマップを加速させ、2025年に向けてプロセス技術のパフォーマンス・リーダーシップをけん引できる工程を着実に歩んでいます。インテルが持つ比類のないイノベーション・パイプラインを最大限に生かし、トランジスターからシステムレベルまで、テクノロジーの進歩を実現します。周期表が尽きるまで、ムーアの法則の実現の追求と、シリコンの魅力が引き起こすイノベーションの道のりを続けます」と述べました。

ナノメートル・ベースのプロセスノードの呼称は、1997年を境に実効ゲート長の測定単位とは一致しなくなったと、業界では長年にわたり言われてきました。インテルが発表する独自のプロセスノードの新たな呼称体系は、業界全体にわたるより確かなプロセスノードの見方を顧客企業に提供し、明確で一貫したフレームワークを確立します。この明確さは、Intel Foundry Services(IFS)を開始するにあたり、これまで以上に重要になります。パット・ゲルシンガーは続けて「本日発表されたた数々のイノベーションは、インテルの製品ロードマップを実現するだけでなく、インテルのファウンドリー事業の顧客にとっても不可欠なものとなるでしょう。すでにIFSに対する関心は高く、最初の主要顧客となる2社を本日、発表できることを大変喜ばしく思います。IFS はいよいよ動き始めました」と述べました。

インテルの技術者が、新しいノード名を含めた今後のロードマップを、各ノードを実現するイノベーションとともに、次の通りに説明しています。

 

  • Intel 7:FinFET トランジスターの最適化により、インテルの10nm SuperFinと比較して、ワット当たりのパフォーマンスを約10~15%向上しています。Intel 7は、2021年に予定されるクライアント向け製品であるAlder Lake(開発コード名)や2022年第1四半期の製造開始を予定しているデータセンター向けSapphire Rapids(開発コード名)などの製品に実装されます。
  • Intel 4:超短波長光を用いて微細なパターンをプリントするEUVリソグラフィーを全面的に採用します。Intel 4では、面積効率の向上とあわせて、ワット当たりのパフォーマンスも約20%向上しています。クライアント向け製品Meteor Lake(開発コード名)とデータセンター向け製品Granite Rapids(開発コード名)を含め、2022年後半の製造開始、2023年の製品出荷に向け準備が進められています。
  • Intel 3:FinFETのさらなる最適化とEUVの利用増加により、面積効率の向上に加え、Intel 4よりも約18%高いワット当たりパフォーマンスを実現しています。Intel 3は、2023年後半の製造開始に向け準備が進められています。
  • Intel 20A:RibbonFETとPowerViaという2つの画期的なテクノロジーにより、オングストローム時代へと入ります。インテルの全周ゲート型(GAA)トランジスター実装となるRibbonFETは、2011年に登場したFinFETを刷新するトランジスター・アーキテクチャーとなります。このテクノロジーは、トランジスターのスイッチング速度を高速化すると同時に、複数フィン形成と同等の駆動電流を、より小さいフットプリントで実現します。PowerViaは、業界初となるバックサイド電源供給のインテル独自の実装であり、ウエハー前面の電源配線を排除することで、信号伝送を最適化します。Intel 20Aは、2024年に量産製造される予定です。また、Intel 20Aプロセス技術を用いた Qualcommとのパートナーシップにも期待しています。
  • 2025年、そしてその先に向けて:インテルは、Intel 20Aの先へと視野を広げています。トランジスター性能を大幅に向上させるRibbonFETにさらなる改良を加えたIntel 18Aの開発もすでに開始し、2025年前半の実現を目指しています。また、インテルは次世代のHigh NA EUVの定義、構築、導入にも取り組んでおり、業界で初めて量産体制を整えると見込まれています。インテルはASML との緊密な連携により、現行世代の EUV を超える、業界の飛躍的な進歩を導いています。

インテル コーポレーション 上席副社長 兼 技術開発本部 本部長のアン・ケレハー(Ann Kelleher)は「インテルには、基盤プロセスのイノベーションにおける長い歴史があり、このイノベーションを基に業界の急速な進歩を推進してきました。インテルは過去、90nmの歪みシリコン、45nmの高誘電率(high-k)メタルゲート、22nmのFinFETへと、それぞれの変遷を主導してきました。RibbonFETとPowerViaという2つの画期的なイノベーションにより、Intel 20Aがプロセス技術の新たな分岐点となることは間違いありません」と述べました。

インテルが掲げる新たなIDM 2.0戦略では、ムーアの法則がもたらすメリットを引き出すために、パッケージングをこれまで以上に重要視しています。インテルは、IFSのパッケージング・ソリューションを導入する最初の顧客企業としてAWSを発表するとともに、業界最先端のパッケージング技術に関する最新のロードマップについて、次のような見解を示しました。

 

  • エンベデッド・マルチダイ・インターコネクト・ブリッジ(EMIB)は、2017年に初の2.5次元(2.5D)組込みブリッジ・ソリューションとして製品出荷が開始され、以来、業界を主導しています。Sapphire Rapids(開発コード名)は、EMIBを備えた初のデータセンター向けインテル® Xeon® スケーラブル・プロセッサー製品として量産出荷される予定です。また同製品は、業界初となるデュアル・レチクル・サイズのデバイスでもあり、モノリシック設計とほぼ同等のパフォーマンスを実現します。Sapphire Rapids(開発コード名)の先の次世代EMIBでは、バンプピッチが55ミクロンから45ミクロンへと、さらに縮小される見込みです。
  • Foverosは、ウエハーレベルのパッケージング(WLP)技術を生かし、この分類で初めて3Dスタッキング・ソリューションを提供します。Meteor Lake(開発コード名)には、クライアント製品向けと第2世代のFoverosが実装され、36ミクロンのバンプピッチ、複数のテクノロジー・ノードをまたぐタイル形状、5W~125W の熱設計電力(TDP)を特長としています。
  • Foveros Omniは、次世代Foverosテクノロジーの先駆けとして、ダイ間の相互接続とモジュール式の設計を可能にするパフォーマンス重視の3Dスタッキング技術を備え、制約のない柔軟性を提供します。最上部の複数のダイ・タイルと最下部の複数のタイルを組み合わせるなど、ファブリケーション・ノード全体にわたるダイ・ディスアグリゲーション(ダイ分離)を可能にします。量産製造は、2023年の開始を予定しています。
  • Foveros Directは、銅線同士の直接接続へと移行させ、相互接続の抵抗を抑え、ウエハー終端部とパッケージ開始点の間に生じる境界をなじませます。10ミクロン未満のバンプピッチを実現するFoveros Directは、3D スタッキングのインターコネクト密度が格段に高く、これまで実現できなかった機能別のダイ分離に新たな概念をもたらします。Foveros DirectはFoveros Omni の補完として、同じく 2023年の開始が予定されています。

本日発表した画期的なテクノロジーは、主に米国オレゴン州とアリゾナ州にあるインテルの施設で開発されており、米国内で研究開発と製造の両方を行う唯一の最先端企業としてのインテルの役割を確固とするものです。さらにこれらのイノベーションによって、エコシステムを構成する米国と欧州のパートナー企業との緊密な連携が確実に進んでいます。研究開発を行うラボから量産製造に至るまで、抜本的なイノベーションを起こすには、強固なパートナーシップが欠かせません。インテルでは、サプライチェーンの強化、経済の安定とセキュリティー面での安全性確保を目指し、各国の政府機関との連携にも努めています。

ウェブキャストの最後で、Intel InnovatiONイベントの詳細も紹介されました。Intel InnovatiONは、2021年10月27日~28日にサンフランシスコで開催され、オンラインで配信される予定です。詳細は、Intel ON のウェブサイト(英語)をご確認ください。

インテルのプロセス技術に関する詳しいロードマップとノード名については、プロセス技術のファクトシート(英語)をご覧ください。本日のウェブキャストは、インテルのニュースルームまたは投資家向け情報サイト(いずれも英語)でリプレイ視聴いただけます。

インテルについて
インテルは 業界のリーダーとして、世界中の進歩を促すとともに生活を豊かにする、世界を変えるテクノロジーを創出しています。ムーアの法則に着想を得て、顧客企業が抱える大きな課題を解決する半導体製品を設計・製造し、その進化に向けて日々取り組んでいます。クラウド、ネットワーク、エッジ、あらゆるコンピューティング機器のインテリジェント化によりデータの価値を最大化し、ビジネスと社会をより良く変革します。インテルのイノベーションについては、https://newsroom.intel.co.jp または https://intel.co.jp をご覧ください。

このページのコンテンツは、元の英語のコンテンツを人力翻訳および機械翻訳したものが混在しています。この内容は参考情報および一般的な情報を提供するためものであり、情報の正確さと完全性を保証するものではありません。インテルは不正確な翻訳があった場合でもいかなる責任を負いません。このページの英語版と翻訳の間に矛盾がある場合は、英語版に準拠します。 このページの英語版をご覧ください。